Réalisation de la mémoire magnétique intégrée sur silicium

Télécharger le fichier pdf d’un mémoire de fin d’études

Nano-oxydation par AFM

Initialement utilisé pour l’étude de topographie et des propriétés d’une surface, le microscopie à champ proche a rapidement évolué pour s’étendre à la modification des propriétés de la surface. Une des applications est la lithographie par oxydation AFM pour la fabrication de nanostructures. La première expérience du genre a été menée par Dagata en 1990 et a permis la réalisation de motifs d’oxyde de silicium de largeur 100 nm, par oxydation locale du silicium préalablement passivé en utilisant un STM à l’air libre [8]. Ces résultats ont marqué le début de l’utilisation des microscopes à champ proche comme outils de lithographie sur des matériaux différents, comme les résines [9,10], les métaux [11, 12, 13,14], les siliciures métalliques [15] et les semiconducteurs [16, 17]. Le développement des techniques de lithographie a été accompagné de celui des méthodes de transfert des motifs d’oxyde par des gravures humides [18, 19] ou sèches [20, 21]. Sur silicium, il existe deux grandes voies de lithographie par anodisation locale assistée par sonde en champ proche :
– Par STM : la surface du silicium est passivée par de l’hydrogène atomique sous ultravide. La déshydrogénation locale est produite par bombardement électronique de la surface par la pointe STM. Cette méthode a permis la réalisation de motifs de l’ordre du nanomètre de largeur [22], ce qui correspond à la résolution ultime accessible de la lithographie par SPM.
– Par AFM : la surface de silicium est passivée en hydrogène dans une solution acide. La déshydrogénation locale est produite par l’application d’une polarisation entre la surface et la pointe AFM. Cette méthode permet la fabrication des motifs d’une dizaine de nanomètres de largeur. Dans les paragraphes qui suivent, nous décrirons la technique de la lithographie AFM que nous avons utilisée sur une surface de silicium, la préparation requise des échantillons, ainsi que les mécanismes qui interviennent permettant la formation de l’oxyde.

Préparation des échantillons

Les surfaces utilisées pour la lithographie AFM, qu’elles soient issues d’un substrat de silicium massif ou d’un substrat SOI (voir §II.1.), sont naturellement oxydées du fait de leur exposition à l’air libre, ce qui donne naissance à une couche d’oxyde natif d’environ 1 nm d’épaisseur. D’autre part, ces surfaces peuvent être couvertes par divers contaminants, comme des traces de résine résultantes des étapes technologiques, des éclats de silicium suite à la découpe de la surface ou des poussières, d’où la nécessité de nettoyer soigneusement les échantillons et retirer l’oxyde natif avant d’entamer une lithographie AFM.
Cette préparation commence par un dégraissage de 15 minutes dans un bain d’acétone sous ultrasons, afin de détacher de la surface les poussières de silicium ainsi que les contaminants organiques. Ce dégraissage est suivi d’un rinçage avec de l’eau désionisée (18 MΩ.cm).
Ensuite, l’échantillon subit une passivation par hydrogénation de surface de silicium dans une solution diluée à 2% d’acide fluorhydrique pendant environ une minute. Cette étape engendre la formation de liaisons hydrogènes Si-H qui passivent la surface, ce qui la rend hydrophobe et inerte vis-à-vis de l’oxydation pendant quelques heures. Cette étape de passivation peut s’effectuer avec un autre traitement composé d’une phase de passivation dans une solution tampon BHF de composition HF, NH4F (1 :7) afin d’enlever l’oxyde natif, suivie d’un bain de fluorure d’ammonium (NH4F à 40%, pH = 8) pour la formation prépondérante de liaisons monohydride [23, 24]. L’étape de la passivation en hydrogène est suivie d’un rinçage abondant avec l’eau désionisée et un séchage à l’azote sec.
La figure suivante montre une surface (1μmx1μm) de silicium avant et après nettoyage et passivation. L’image de gauche (avant le nettoyage) montre l’existence d’une poussière de 10 nm de hauteur, la rugosité de la surface mesurée par l’AFM est 0.7 nm. Après le nettoyage, la rugosité est de 0.09 nm.

Principe de la technique

La nano-oxydation par AFM est basée sur l’application d’une différence de potentiel entre la pointe AFM conductrice et le substrat de silicium.
Nous avons trouvé qu’il est nécessaire de procéder à cette oxydation directement après la passivation et le séchage du substrat, puisque la surface Si-H n’est pas stable à l’air. Elle ne reste inerte que pendant environ quatre heures et commence à s’oxyder lentement au-delà de ce délai : des îlots de SiO2 croissent alors sur la surface.
La nano-oxydation par AFM ne se produit que quand on applique une différence de potentiel négative entre la pointe AFM conductrice et le substrat de silicium (voir fig. 5(a)). D’autre part, l’humidité est nécessaire pour l’oxydation et la croissance d’oxyde est nulle dans une atmosphère sèche. Il faut donc opérer dans une atmosphère humide, ce qui donne naissance à une couche d’eau adsorbée sur la surface. A partir de cette couche d’eau, un ménisque se forme entre la surface et la pointe quand celle-ci est amenée à proximité de la surface.
Ainsi la nano-oxydation par AFM est un processus d’anodisation électrochimique : la surface de silicium joue le rôle de l’anode, tandis que la pointe représente la cathode, le ménisque d’eau constitue l’électrolyte. Compte tenu de la petite taille de l’apex de la pointe (~10 nm) et de la faible distance entre la pointe et l’échantillon (~1 nm), l’ensemble pointe-échantillon est considéré comme entièrement immergé dans le ménisque. La différence de potentiel entre la pointe et la surface engendre la dépassivation locale de la surface par désorption d’hydrogène et dirige les ions , présents dans le ménisque d’eau, vers la surface, ce qui donne lieu à la réaction d’oxydation locale , qui se traduit par la formation locale de l’oxyde de silicium. L’annexe B rappelle les mécanismes de formation de l’oxyde lors d’une lithographie AFM. Sur la fig. 5(b), nous avons dessiné des motifs d’oxyde de largeur 100 nm avec cette technique : on peut distinguer des lignes d’oxyde de hauteur 0.9 nm, ainsi qu’un carré (d’oxyde) de repérage de hauteur 3 nm.

Cinétique de l’oxydation du silicium (100).

L’intérêt d’examiner la cinétique de croissance de l’oxyde est primordial pour la fabrication des nanocircuits. En effet, le contrôle de la hauteur de l’oxyde permet de mener à bien le transfert efficace du motif d’oxyde lors de la phase de gravure humide (§II.2.b.). La largeur du motif quant à elle, définit la résolution de la nano-oxydation. Ainsi, nous nous sommes intéressés à l’impact des paramètres expérimentaux sur les dimensions du masque d’oxyde dessiné.
Cette étude à été réalisée sur un substrat de silicium orienté (100) de type n de résistivité 0,2 Ω.cm – 0,35 Ω.cm (dopage 3.1016 cm-3).
Après la préparation de l’échantillon, on dessine des lignes d’oxyde par nano-oxydation AFM en utilisant une tension continue en mode contact. L’étude porte sur la variation de l’hauteur et de la largeur de la ligne d’oxyde avec la tension de polarisation et la vitesse d’écriture.
Dans un premier temps, on polarise la pointe avec des tensions négatives continues, avec une vitesse d’écriture typique de 0,5 μm.s-1, la force de contact pointe-substrat est fixée à 17 nN. On mesure la hauteur apparente de l’oxyde pour chaque polarisation.
La figure 6 met en évidence l’existence d’une tension seuil à partir de laquelle l’oxydation est observée. L’extrapolation de la courbe de croissance révèle une tension seuil de l’ordre de -1,8 V.

Fabrication des nanocircuits en silicium sur isolant

Technologie Silicium sur isolant (SOI)

On distingue principalement deux sortes de technologies de fabrication de circuits microélectroniques sur silicium, selon le type de supports sur lesquels elles sont implantées : la technologie Bulk et la technologie Silicium sur Isolant (« Silicon on Insulator » : SOI) décrite en annexe C. Nous avons travaillé sur des échantillons SOI de type Smart-Cut.
Smart-Cut est un procédé de fabrication du SOI inventé par Bruel en 1995 [27] et commercialisé sous la marque Unibond par Soitec. Sorte de scalpel atomique permettant de découper des couches minces dans différents matériaux, le procédé exploite le phénomène du boursouflage (Blistering). En effet, l’implantation de particules de gaz rares ou d’ions d’hydrogène occasionne la formation de microcavités ou de microbulles [28, 29] au niveau de la profondeur de pénétration RP (correspondant à la concentration maximale des ions implantés). Juste retour des choses, la pression du gaz dans les microcavités entraîne la formation d’une zone fracturée qui servira de couche de rupture. Ce phénomène a lieu généralement pour des implantations à forte dose (typiquement 1,5.1017cm-2) à température ambiante, mais peut aussi être obtenu par activation thermique après implantation à dose moyenne (5.1016cm-2).
La figure ci-dessus résume les étapes basiques du procédé. Après une oxydation thermique de 400 nm du substrat de Si, les ions H+ sont implantés à travers la couche d’oxyde. Ce substrat résultant est ensuite collé par chauffage sur un autre substrat de silicium sous l’action des forces de Van der Waals. Un premier recuit (400°C-600°C) permet la fissuration du substrat de silicium et le clivage du substrat SOI du substrat recyclable de silicium, tandis que le deuxième recuit (1100°C) sert à éliminer d’éventuels résidus de silanol auprès de l’interface de collage. Le substrat SOI subit ensuite un polissage mécano-chimique pour ramener la couche du silicium superficiel à 100 nm d’épaisseur.
Ce procédé assure une grande uniformité de la couche supérieure du substrat, une faible concentration des dislocations et une interface Si/SiO2 de bonne qualité. Cette technique est adaptée à de larges volumes de production, et donc propice à une diminution des coûts de production.

Réalisation des nanofils de silicium connectés

Réalisation de la structure de test

Les structures de test ont été réalisées au CEA-LETI (Grenoble), à partir des substrats SOI. Nous allons décrire, dans ce qui suit, les étapes que nous avons effectuées sur un substrat SOI pour réaliser notre structure de test.
􀂾 Amincissement localisé.
La réalisation des nanofils de silicium connectés selon le principe d’oxydation locale par AFM requiert une structure de test comportant d’emblée des lignes de connexion et des plots de contact. De manière à éviter d’avoir des jonctions Schottky à la connexion entre nos nanofils et les structures de test, les électrodes de test ont été réalisées en silicium dégénéré, dont le type de dopage est identique à celui de la couche de SOI sur laquelle nous travaillerons. Ces lignes et plots de contact doivent donc avoir une très grande épaisseur de manière à subsister après l’attaque humide dans le TMAH pour le transfert de masque d’oxyde.
En effet, durant cette étape, seules les nanostructures seront protégées par le masque d’oxyde. D’autre part, afin d’obtenir les couches ultraminces avec les épaisseurs souhaitées, il faut amincir la couche superficielle en silicium, d’épaisseur standard de 100 nm, à seulement quelques nanomètres. Pour définir les zones actives (niveau 1 du masquage) de la structure, il faut donc réaliser un amincissement localisé de la couche de silicium superficielle.
L’amincissement se fait en deux étapes :
– Une oxydation pleine plaque qui permet de réduire l’épaisseur du silicium superficiel de 100 nm à 80 nm.
– Une oxydation localisée (« LOCal Oxidation of Silicon » : LOCOS) qui permet d’amincir le silicium de la zone active, les zones de contact étant protégées par un masque de nitrure de silicium (voir fig. 9(a)).
Après désoxydation du substrat (fig. 9(b)), les zones de contact gardent une épaisseur d’environ 80 nm tandis que les zones actives ont une épaisseur réduite de quelques nanomètres. Dans notre travail, on a fabriqué et caractérisé des nanofils à deux épaisseurs du silicium aminci : 15 et 8 nm.
􀂾 Dopage des zones.
Les zones actives (en silicium aminci) seront utilisées pour fabriquer les nanofils de même épaisseur et de dopage 2.1017cm-3.
Pour doper les régions de silicium épais et celles du silicium aminci, deux implantations ioniques ont été effectuées :
– Implantation au phosphore à forte dose et énergie à travers un oxyde formé par LOCOS, avant la désoxydation. En effet, les ions de phosphore pénètrent dans l’épaisseur de la zone de contact. D’autre part, du côté des zones actives, les dopants se trouvent piégés dans l’oxyde épais LOCOS (voir fig. 10(a)).
– Implantation de l’arsenic à faible dose et énergie après la désoxydation du LOCOS. Ce qui permet de localiser les ions de l’arsenic dans l’épaisseur du silicium aminci (fig. 10(b)).

Transfert de masque – gravure humide

Parmi les techniques de gravure, on distingue deux catégories :
– Les gravures sèches dont la plus utilisée est la gravure ionique réactive (Reactive Ion Etching : RIE) qui se distingue avec sa forte anisotropie, mais ne permet pas une bonne sélectivité sur silicium [31].
– Les gravures humides qui font appel à des solutions chimiques telles que l’hydroxyde de potassium (KOH) ou l’hydroxyde de tétraméthyle d’ammoniac (TMAH). Dans notre cas, on utilise ce dernier en solution diluée (25%) en TMAH pour éviter les contaminations en ions K+. Des précautions sont à prendre lors de la manipulation de cette solution cancérigène et inflammable. L’oxyde fabriqué par AFM est assez résistant vis-à-vis de la gravure humide, ce qui rend la nano-lithographie assistée par AFM adaptée à la fabrication de nanostructures sur silicium. La figure qui suit montre un exemple de la lithographie sur une structure de test.
Les plots de contact font 0,5 μm de large et 80 nm de haut, les lignes d’oxyde ont une largeur d’environ 100 nm et environ 1 nm de hauteur (les lignes d’oxyde ne sont visibles qu’avec un fort contraste, voir fig. 15(c)). Il est utile de souligner que la réalisation des lignes d’oxydes entre les plots de contact fournit des largeurs plus grandes que celles obtenues sur un substrat de silicium nu. En effet, à la traversée des marches abruptes des plots de contact (hauts de 80 nm), la pointe se détériore et voit grandir le rayon de courbure de son apex : la résolution diminue.
Après la réalisation de l’oxyde, on procède à l’attaque humide par TMAH pour développer le masque d’oxyde. La fin de la gravure est annoncée par le changement de couleur de l’échantillon du rose au vert. La gravure est ensuite arrêtée en plongeant l’échantillon dans un bécher d’eau désionisée. Un rinçage abondant à l’eau désionisée assure l’arrêt complet de la gravure et élimine toute trace résiduelle de TMAH.
La gravure humide par TMAH est très sélective vis-à-vis de l’oxyde de silicium puisque après l’attaque, l’épaisseur de l’oxyde reste invariante à 1 Å près. Néanmoins, les plans (111) plus denses sont gravés moins vite que les plans (100), ce qui révèle l’angle 54.74° qui existe entre les deux plans (voir fig. 16). Il en résulte que la largeur des lignes augmente suivant la relation : e=1,4 h, où h est la hauteur de ligne et e l’élargissement de ligne dû à l’étape de gravure.
Malgré le fait que l’attaque humide s’arrête sur l’oxyde quand le silicium aminci est gravé, il est important de contrôler cette étape en raison de la faible épaisseur du silicium aminci et de la présence du motif de test en silicium dégénéré.
En effet, pour une meilleure homogénéité de l’attaque, il faut amener la solution de TMAH à une température suffisante; d’un autre côté, pour un meilleur contrôle de la gravure, il faut que le temps d’attaque soit assez long afin de détecter, à l’oeil nu, la disparition de la couche mince de silicium. Dans ce sens, nous avons étudié la cinétique de la gravure humide des films minces de silicium dopés N dans une solution à 25% de TMAH, la figure 17 présente la vitesse de gravure en fonction de la température pour trois niveaux de dopages 2.1017 cm-3, 5.1018 cm-3 et 1021 cm-3.
La figure montre que la vitesse de gravure dépend du niveau de dopage du silicium et de la température de la solution de TMAH. En effet, la vitesse de l’attaque humide augmente considérablement avec la température du TMAH dilué ; à titre d’exemple, augmenter la température de 300 à 315 K augmente la vitesse de gravure d’un facteur 2 pour un dopage de 2.1017 cm-3. D’autre part, la gravure est plus rapide pour les forts dopages : le silicium dégénéré (1021 cm-3) est gravé plus rapidement que le silicium faiblement dopé (2.1017 cm-3). Ceci signifie que les lignes de contacts en silicium dégénéré de notre structure de test seraient gravées lors de l’attaque humide.
Par cela, nous avons étudié l’influence de l’exposition des échantillons à l’air après la passivation en hydrogène vis-à-vis l’attaque humide. Sur la figure 18, nous présentons l’évolution du temps de gravure de films de 8 nm d’épaisseur de silicium de type N (avec les trois dopages de la figure 17), en fonction du temps d’exposition à l’air après le bain HF. La température de la solution de TMAH a été fixée à 40°C.
Pour les trois dopages, nous pouvons noter que la gravure est d’autant plus lente quand les échantillons sont exposés à l’air. De plus, nous observons que plus le dopage est fort, plus le temps de gravure augmente. Ainsi, le silicium dégénéré s’oxyde à l’air plus vite que celui faiblement dopé. En effet, l’exposition des échantillons à l’air entraîne la formation d’une fine couche d’oxyde natif, qui est gravée beaucoup plus lentement (1000 fois moins) que le silicium. Cette oxydation protège les lignes de contacts de la structure de test qui autrement seraient dissoutes plus rapidement (que le silicium aminci) dans le TMAH.
Compte tenu de cette étude, nous avons choisi d’effectuer la gravure humide avec une solution de TMAH à 40°C. La durée de la gravure du silicium aminci (à 8 nm) et faiblement dopé (2.1017 cm-3) de nos structures est d’environ 30 s. Les plots de contact sont rapidement oxydables à l’air libre, ce qui les préserve lors de l’attaque humide.
Sur la figure qui suit, nous illustrons la progression de la gravure humide de la couche mince de silicium, épaisse de 8 nm, qui permet d’obtenir un nanofil connecté. La gravure se manifeste par des bulles et un changement de couleur de l’échantillon, ce qui indique que la couche superficielle du silicium disparaît pour laisser à nu l’oxyde enterré du substrat SOI du départ.
A proximité des doigts de contact, la gravure est naturellement plus délicate. La non-uniformité de la gravure est beaucoup plus visible sur les couches de 8 nm que sur celles de 15 nm, et peut être attribuée à des résidus de la résine utilisée pour l’étape d’implantation ionique (un débordement d’implantation des dopants en dehors des plots de contact aurait eu un profil plus régulier). Pour éliminer tout le silicium aminci non protégé par le masque, il est nécessaire de remuer l’échantillon latéralement pendant la gravure avant d’arriver au résultat de la figure suivante.

Caractérisation électrique des nanocircuits

Introduction – transistor à effet de champ

Avant de développer les mesures faites sur les nanofils, nous présentons d’abord une brève description du transistor à effet de champ et son principe de fonctionnement.
Un transistor à effet de champ est un dispositif de source de courant commandée en tension. Il est l’association de deux réservoirs de porteurs (ici des électrons), appelés zones de « source » et de « drain ». Ces zones sont séparées d’un semiconducteur de type différent et isolé de la « grille » par un oxyde. La grille est conductrice (métallique ou semiconductrice très dopée se comportant comme un conducteur). Quand on applique une tension sur la grille, on forme le « canal » entre le drain et la source et on module la conductivité. Le courant IDS passe à cause de la différence de potentiel entre la source et le drain.
La conduction dans un transistor MOS s’établit selon le type de canal. Dans le cas d’un MOSFET standard, le canal d’inversion se forme entre le drain et la source avec les porteurs minoritaires du substrat (voir fig. 23(a)). Dans ce cas, il faut appliquer sur la grille une tension suffisamment élevée pour les attirer vers le canal, sans quoi le transistor reste à l’état bloqué.
La technologie SOI permet d’élaborer un autre type de transistors à effet de champ, qui commence à être intégré dans la technologie MOS : le canal est fait d’une couche mince dopée du même type que le source et drain (voir fig. 23(b)). Il se comporte alors comme une résistance n+/n/n+ et l’application d’une différence de potentiel entre le drain et la source permet le passage du courant dans le volume du canal. Le transistor est à l’état passant. L’application d’une tension sur la grille permet d’augmenter la conduction dans le canal en attirant les électrons de la source et drain ou de bloquer le canal en repoussant les électrons vers la source et le drain. Le substrat massif sert uniquement de support mécanique pour le transistor.

Mesures électriques sur les nanofils de 15 nm

Mesures électriques sur un fil large

Dans un premier temps, nous présentons la courbe ID(VDS) mesurée, à température ambiante et avec une polarisation nulle de la grille, pour un fil en silicium de dimensions h=15 nm, W=725 nm et L=1μm et de dopage ND=2.1017cm-3.
La figure ci-dessous montre le courant dans le canal à VBG=0V. Le nanofil se comporte comme une résistance de 0.75 GΩ.
En effet, en absence de polarisation sur la grille arrière, le courant IDS s’écrit , où CdriftDDShWvNeI….=LVEvDSnndriftμμ== désigne la vitesse de dérive des électrons dans le canal. La conductance dans le nanofil est donc CDnhNeLWG…μ=.
En prenant μn = 103 cm2.V-1.s-1, la mobilité typique des électrons dans le silicium de ce dopage, l’estimation du courant drain-source à VBG = 0V est 104 fois plus grande que celui mesuré (RCALCULÉE = 0.06 MΩ, RMESURÉE = 750 MΩ). Ceci montre que nous sommes donc en régime de déplétion même si l’on ne polarise pas négativement la grille. Le potentiel de surface ΨS est donc négatif. Cette déplétion peut être causée par des charges négatives piégées à l’interface entre le nanofil et l’oxyde AFM ou dans l’oxyde lui-même. En effet, les défauts d’interface avec l’oxyde AFM et dans l’oxyde introduisent des niveaux d’énergie dans la bande interdite de l’oxyde et peuvent donc capturer des électrons.
Cette hypothèse est confirmée par les mesures ID(VDS) que nous avons effectuées à différentes valeurs de la tension de grille, comme le montre la figure 28. L’application d’une tension positive sur la grille arrière engendre l’augmentation de la conductance. Dans la zone ohmique, la résistance du nanofil est de 0.25 MΩ pour VBG=45 V : le courant a donc augmenté de plus de 3 ordres de grandeur par rapport à VBG=0 V.

Mesures électriques sur les nanofils de 8 nm

Résultats de la caractérisation électrique

Toutes ces mesures ont été effectuées à l’air libre et à température ambiante. Pour éviter, ou du moins pour la limiter la génération de photoporteurs, les mesures sont faites dans l’obscurité. On utilise le dispositif expérimental décrit précédemment (fig. 26).
Dans cette section, nous avons étudié le comportement d’un nanofil de dopage 2.1017cm-3 et de dimensions (h=8 nm, W=95 nm et L=2 μm). Pour de telles dimensions, le nanofil comporte environ 300 atomes dopant. Avec un petit dopage et une épaisseur si faible, le nanofil devrait être plus sensible aux fluctuations du potentiel de surface. La figure 35 montre les courbes successives de courant drain-source en fonction de VDS. La grille arrière est connectée à la masse (voir fig. 35, 1ère mesure).
Dans ce cas, il existe un courant IDS à VBG = 0V. Compte tenu de son dopage et de sa section, la résistance calculée pour ce nanofil est d’environ 0,7 MΩ pour une valeur mesurée de près de 50 GΩ. Le rapport RMESURÉE /RCALCULÉE est d’environ 7.104 (contre 104 pour les nanofils de 15 nm d’épaisseur) : c’est un signe, de nouveau, que le nanofil est fortement déplété à cause des charges dans l’oxyde.
De plus, nous avons observé que dans ces nanofils, le niveau de courant diminue quand nous répétons la mesure IDS(VDS) à VBG = 0V. Cette chute de courant conduit progressivement à la désertion complète du canal (voir fig. 35, 5ème mesure).
Dans ce qui suit, nous avons effectué des mesures électriques sur le transistor avec des tensions positives sur la grille arrière pour une même excursion de VDS. La figure 36 montre le réseau de caractéristiques correspondant.
Le niveau du courant IDS augmente progressivement avec la tension appliquée sur la grille. La caractéristique présente une évolution ohmique puis une saturation suivant le champ électrique appliqué. Ceci correspond à la caractéristique d’un transistor MOS typique comme dans le cas précédent. Toutefois, après l’application de 30 V sur la grille, le niveau de courant chute considérablement alors que l’on s’attendait à avoir un courant plus élevé que celui à VBG=30V. La variation du courant avec la tension de grille n’est pas monotone. Ceci ne peut pas être attribué à une diminution de mobilité des électrons qui serait due à l’échauffement. En effet les densités de courant en jeu sont trop faibles (de l’ordre de 40 A.cm-2) pour observer cet effet.
Pour essayer de comprendre cet effet et les conditions de sa relaxation, nous avons fixé une valeur faible de la tension VDS (50mV) et nous avons étudié la variation du courant IDS en fonction de la tension appliquée sur la grille VBG d’amplitude inférieur à 30V. La figure 37 résume les résultats de cette expérience.
Dans un premier temps, nous avons répété le balayage de la tension VBG de 0 à 30 V et nous avons mesuré le courant IDS en fonction de VBG (1ère, 2ème et 3ème rampe). Le courant a un caractère séquentiel similaire à un effet mémoire puisque son niveau est moindre à chaque balayage. Une attente de 30 min n’induit pas la relaxation du nanofil, la chute du courant persiste (4ème et 5ème rampe).

Mesures électriques à basses températures

A très basses températures (T=4.4K), nous avons effectué une série de mesures IDS(VBG) pour différentes gammes de la tension de grille arrière. La figure 44 montre une caractéristique à basse température.
Les oscillations de Coulomb (voir annexe D) dans le nanofil se produisent pour des tensions de grille non reproductibles, les pics de courant sont décalés d’une mesure à l’autre à cause du changement de configuration des pièges à l’interface. D’autre part, elles présentent des valeurs négatives de IDS qui ne peuvent correspondre qu’à des états transitoires comme nous l’avons déjà observé à température ambiante.

Réalisation de la mémoire magnétique intégrée sur silicium

Au-delà des mémoires MRAM, il est important d’étudier la faisabilité d’autres structures comportant des matériaux magnétiques et semiconducteurs, dites « hybrides ». Le principe de ces dispositifs repose sur l’injection, à partir d’un métal ferromagnétique, d’électrons porteurs d’une information magnétique, itinérants dans un milieu semiconducteur et enfin collectés sélectivement par un métal ferromagnétique selon leur orientation.
L’intérêt de ces structures est d’allier la capacité de polarisation/filtrage de spin et de stockage d’information dans les matériaux magnétiques à celle de manipulation et de modulation des courants dans les semiconducteurs. D’autre part, l’intégration des couches magnétiques à l’intérieur du composant semiconducteur réduirait considérablement la complexité des procédés technologiques ainsi que le coût de fabrication, contrairement aux mémoires magnétiques classiques qui insèrent la partie magnétique sur le circuit intégré.
La réalisation de telles structures nécessite la considération des points suivants :
– La possibilité d’injecter des électrons polarisés en spin à partir d’un métal ferromagnétique dans un semiconducteur. Le courant injecté doit être grand puisqu’il correspond au courant collecté dans l’état passant du dispositif.
– La sélectivité de la détection qui se traduit par la différence entre les courants collectés dans les états passant et bloqué du dispositif. Cette différence doit être suffisamment grande pour pouvoir distinguer les deux états.
– Le temps de relaxation de spin (τS) qui représente le temps pendant lequel le spin conserve son orientation lors de son transport dans le semiconducteur. En effet, lors de son déplacement, un électron peut subir des diffusions susceptibles d’affecter sa direction de spin.
– La longueur de diffusion du spin (lS) qui représente la distance que parcourt l’électron sans perdre sa direction de spin. Cette longueur dépend de τS et se doit d’être suffisamment grande (supérieure à la distance émetteur/collecteur).
Les semiconducteurs III-V ont été exploités avec succès pour l’étude de l’injection et la diffusion du spin. Ils ont l’avantage de permettre, grâce à une émission lumineuse due à la recombinaison électron-trou, la détection optique du spin par mesure de la polarisation circulaire [6-9]. Les composants III-V sont notamment utilisés pour la fabrication des spin-LED.
La détection du spin par des méthodes optiques ne peut pas être utilisée dans le cas du silicium puisque ce dernier n’a pas un gap direct [10]. Cela dit, l’avantage du silicium par rapport aux composants III-V, hormis sa large utilisation dans l’industrie microélectronique, est un temps de relaxation de spin plus long (10-8s pour le silicium contre 10-10s pour les III-V à température ambiante) [11], ce qui correspond à des longueurs de diffusion de spin plus importantes.

Simulation du transport du spin dans le silicium

Notations et considérations générales

L’intérêt de l’étude du transport du spin dans un milieu semiconducteur est de comparer l’évolution des porteurs avec des spins orientés différemment et déterminer dans quelle mesure l’information du spin est conservée. On injecte les électrons avec une densité dans un substrat de silicium de type N (de concentration ) et on s’intéresse à la distribution stationnaire du spin comme décrit sur la figure 3 ; le substrat est dirigé par le repère ( Jrnkjirrr,,).
La densité du courant portée par les électrons circulant dans le substrat du silicium, s’écrit comme la somme des courants de dérive et de diffusion des électrons selon l’équation de dérive-diffusion : Jr() ngradqDEnqJ−=rrμ (1).
– désigne la charge élémentaire : q=+1.6.10q-19C.
– μ désigne la mobilité des électrons dans le silicium. Nous supposons qu’il n’y a pas d’impuretés magnétiques dans le canal donc la mobilité est la même pour les électrons spin-up et spin-down (−+==μμμ).
– est la concentration totale des électrons dans le substrat. Elle correspond à la somme des concentrations des populations spin-up () et et spin-down (). La différence entre ces deux concentrations est notée : n+n−nnΔ −++=nnn (2.1) −+−=Δnnn (2.2).
– Erreprésente le champ électrique dans le substrat dû à la différence de potentiel entre le collecteur et l’émetteur.
– le coefficient de diffusion des électrons dans le silicium. Il est égal pour les deux populations de spin () puisque que le silicium n’est pas un matériau magnétique. est défini par la relation d’Einstein : D−+==DDDDqTkDBμ= (3).

Mesures électriques des structures MEMIS

Mémoire magnétique intégrée sur silicium (MEMIS)

Le spin-FET décrit au §I.2, quoique proposé il y a plus de 15 ans, n’a jamais pu être réalisé avec succès. Son bon fonctionnement s’est heurté à plusieurs obstacles liés à l’injection et à la détection dépendant du spin aux interfaces métal ferromagnétique/semiconducteur. En effet, une telle jonction Schottky permet d’injecter des porteurs (électrons ou trous) exclusivement du semiconducteur vers le métal et pas l’inverse [17].
La figure 12 illustre un contact entre un métal et un semiconducteur de type P. Afin d’injecter des électrons dans le semiconducteur à partir du métal, on applique une tension négative sur ce dernier. Ceci entraîne le passage des trous du semiconducteur vers le métal, néanmoins il n’y a pas de transitions des électrons du métal dans le semiconducteur en raison de la hauteur de barrière de ce contact. Pour y remédier, il est nécessaire de mettre une résistance d’interface entre le métal ferromagnétique [18] et le semiconducteur, d’où l’introduction d’une jonction tunnel [19- 22] (voir fig. 13). Dans ce cas, une partie de la différence de potentiel entre le métal et le semiconducteur chute dans l’oxyde. Ainsi, le niveau de Fermi du métal peut être mis en face de la bande de conduction du semiconducteur.

Le rapport de stage ou le pfe est un document d’analyse, de synthèse et d’évaluation de votre apprentissage, c’est pour cela rapport-gratuit.com propose le téléchargement des modèles complet de projet de fin d’étude, rapport de stage, mémoire, pfe, thèse, pour connaître la méthodologie à avoir et savoir comment construire les parties d’un projet de fin d’étude.

Table des matières

1. Introduction générale
1. Limites des techniques traditionnelles de lithographie « top-down » – procédés émergents.
2. Verrous technologiques et fonctionnels des MOSFET – nouvelles architectures du FET.
3. Nouveaux dispositifs électroniques.
4. Objet de l’étude.
Références
2. Fabrication et caractérisation des nanocircuits en silicium sur isolant
Introduction
I. Nano-oxydation assistée par Microscope à Force Atomique
I.1. Le microscope à force atomique (AFM)
I.1.a. Descriptif et principe de fonctionnement
I.1.b. Forces d’interactions et modes opératoires de l’AFM
I.1.c. Limites et résolutions de l’AFM
I.2. Nano-oxydation par AFM
I.2.a. Préparation des échantillons
I.2.b. Principe de la technique
I.2.c. Cinétique de l’oxydation du silicium (100)
II. Fabrication des nanocircuits en silicium sur isolant
II.1. Technologie Silicium sur isolant (SOI)
􀂾 Procédé Smart-cut
II.2. Réalisation des nanofils de silicium connectés
II.2.a. Réalisation de la structure de test
􀂾 Amincissement localisé
􀂾 Dopage des zones
􀂾 Descriptif de la structure de test
􀂾 Evaporation des plots d’or
􀂾 Lithographie assistée par AFM
II.2.b. Transfert de masque – gravure humide
􀂾 Microsoudure des échantillons
III. Caractérisation électrique des nanocircuits
III.1. Introduction – transistor à effet de champ
III.2. Mesures électriques sur les nanofils de 15 nm
III.2.a. Mesures électriques sur un fil large
III.2.b. Effet de l’excitation optique
III.3. Mesures électriques sur les nanofils de 8 nm
III.3.a. Résultats de la caractérisation électrique
III.3.b. Discussions
III.3.c. Mesures électriques à basses températures
IV. Conclusions
Références
3. Transport du spin dans le silicium
I. Réalisation de la mémoire magnétique intégrée sur silicium
I.1. Motivations
I.2. Le transistor à précession de spin
II. Simulation du transport du spin dans le silicium
II.1. Notations et considérations générales
II.2. Transport du spin sous un champ magnétique extérieur
II.3. Evaluations numériques
II.4. Conclusion
III. Mesures électriques des structures MEMIS
III.1. Mémoire magnétique intégrée sur silicium (MEMIS)
III.1.a. Descriptif d’une cellule MEMIS
III.1.b. Retournement des aimantations des couches magnétiques
III.2. Caractérisation électrique
III.2.a. Caractérisation de l’injection des électrons
III.2.b. Caractérisation électrique du collecteur
III.2.c. Caractérisation électrique de la détection
III.2.d. Discussions
III.3. Mesures de magnétorésistance
IV. Conclusion et perspectives
Références
4. Fabrication et caractérisation de structures hybrides de type FMIS et MSS
Introduction
I. Elaboration et caractérisation des jonctions Ferro/isolant/silicium
I.1. Elaboration des jonctions MIS
I.2. Fabrication des dispositifs
I.3. Caractérisation électrique des jonctions MIS
I.3.a. Structures FMIS avec un substrat Si type P
I.3.b. Structures FMIS avec un substrat Si type N
I.4. Elaboration des jonctions MIS dans des tranchées
I.4.a. Méthode de fabrication
I.4.b. Mesures électriques sur les jonctions MIS Co/AlO/Si et Co/MgO/Si
II. Caractérisation des jonctions Ge/Ge3Mn5
II.1. Elaboration des jonctions
II.2. Mesure de la hauteur de barrière Bφ du contact Ge3Mn5/Ge(p)
III. Conclusion et perspectives
Références

Télécharger le rapport complet

Télécharger aussi :

Laisser un commentaire

Votre adresse e-mail ne sera pas publiée. Les champs obligatoires sont indiqués avec *