Fiabilité des MEMS : Etat de l’art

Fiabilité des MEMS : Etat de l’art 

L’étude de la fiabilité et des mécanismes de défaillance dans la mise au point d’un nouveau produit, que ce soit en microélectronique ou dans n’importe quel domaine, est une étape indispensable pour pouvoir passer du stade du laboratoire à la fabrication industrielle et aux applications. Les MEMS n’échappent pas à cette règle et la fiabilité des microsystèmes est une question clé qui est en train de prendre une importance considérable au moins pour trois raisons :
(1) Les microsystèmes sont des dispositifs complets et complexes pour lesquels l’étude de leur fiabilité est critique. La complexité des systèmes multiplie les risques de leur défaillance et rend impératives les études de fiabilité ;
(2) Leur large diffusion dans les grands systèmes leur confère des rôles à risques : Ils ont un impact direct sur la sécurité, où dans certains cas la vie humaine est en jeu.
(3) Ils sont un facteur du développement économique.

La sûreté de fonctionnement des systèmes 

Le terme « sûreté de fonctionnement » (SdF) [2] est un terme générique qui englobe plusieurs concepts : la fiabilité (assurer la continuité du service), la maintenabilité (être réparable), la disponibilité (être prêt à l’emploi) et la sécurité (non occurrence d’événements catastrophiques) d’un système, d’un produit (cf. fig. 1.1). Un des grands mérites du concept de SdF est de s’être attaché à l’intégration des méthodes et techniques destinées à garantir l’aptitude d’un système à délivrer un service dans lequel on puisse avoir confiance et à s’assurer que cette confiance est justifiée. Selon [15], la SdF d’un système est précisément la propriété qui permet à ses utilisateurs de placer une confiance justifiée dans le service qu’il leur délivre. Cette notion de confiance est fondamentale pour les systèmes matériel/logiciel qui contiennent des erreurs souvent introduites lors des phases de conception [16].

Selon la norme CEI 50 191 [19] la sûreté de fonctionnement recouvre les caractéristiques de fiabilité, maintenabilité et disponibilité. La SdF est aussi définie par [2] comme la science des défaillances : elle inclut alors leur connaissance, leur évaluation, leur prévision, leur mesure et leur maitrise.

La Fiabilité 

Le terme fiabilité a été admis en 1962 par l’Académie de Sciences selon la définition [20] : « Grandeur caractérisant la sécurité du fonctionnement, ou mesure de la probabilité de fonctionnement d’un appareillage selon les normes prescrites ». Plus tard, dans les années 70, le Comité Électrotechnique International a proposé la définition suivante [21]: « Caractéristique d’un dispositif, exprimée par la fiabilité, qu’il accomplisse une fonction requise, dans des conditions données, pendant une durée donnée « . La définition de la fiabilité par L’ »Oxford English Dictionary » est la qualité d’une entité sur laquelle on peut compter à un instant donné. En anglais « reliability » vient de « to rely on » signifiant « compter sur, avoir confiance en… », alors que « fiabilité » en français vient effectivement du mot « fiable », c’est-à-dire en qui on peut se fier.

Il est important de bien distinguer différentes évaluations de cette probabilité en fonction des considérations suivantes :

– la fiabilité opérationnelle (observée ou estimée) résulte de l’observation et de l’analyse du comportement d’entités identiques dans des conditions opérationnelles ;
– la fiabilité prévisionnelle (prédite) estime une fiabilité future à partir de considérations sur la conception des systèmes et la fiabilité de leurs composants ;
– la fiabilité extrapolée, elle, résulte d’une extension, par extrapolation définie ou par interpolation, de la fiabilité opérationnelle à des durées ou des conditions de contraintes différentes.

Les mécanismes de défaillances des microsystèmes 

Les points importants dans ces rappels sont, dans le cas des microsystèmes, les définitions des fiabilités opérationnelles et prévisionnelles et la relation au concept des défaillances considérée au niveau global microsystème et au niveau de ses contenus, où la défaillance s’associe à une physique de défaillance et un mécanisme de défaillance. Les mécanismes de défaillances sont alors le résultat d’une conjonction entre les technologies de fabrication et les conditions d’usage. Ils sont évidemment très spécifiques « technologies / technologues ». Dans la mesure où nous sommes concernés par les microsystèmes c’est les technologies d’intégration microsystèmes que nous devons considérer. Les technologies microsystèmes sont nées dans le prolongement des technologies microélectroniques du silicium, dans les années 1990. L’idée est de rajouter de nouvelles fonctions grâce à de nouvelles opérations comme le micro-usinage du silicium, la mise en œuvre de couches sacrificielles, et de couches actives spécialisée : piezo, pyro, opto, etc …. L’aboutissement est de créer de véritables systèmes, complexes, compacts et miniaturisés. A ce jour, on distingue deux types de microsystèmes :

● Les SOC (System On Chip) où toutes les fonctions sont intégrées dans la puce ou sur (above) la puce de silicium.
● Les SIP (System In Package) qui résultent de technologies d’assemblage à plat et de plus en plus 3D.

Cette intégration ultime conduit à des dispositifs complexes à forte valeur ajoutée que l’on ne peut pas envisager de détruire pour conduire leur évaluation. Les fonctions peuvent interagir ce qui rend toute évaluation encore plus difficile. Il faut donc promouvoir une méthode d’analyse basée sur une identification précise des mécanismes des défaillances.

Les mécanismes de défaillances liés aux conditions d’usage
Différents travaux ont été réalisés pour identifier et classer les mécanismes de défaillance liés à l’utilisation des microsystèmes. Les laboratoires Sandia [40] ont proposé de classer les mécanismes de défaillance en fonction du mode de fonctionnement de la structure, par exemple : avec ou sans frottement, avec ou sans impact, avec ou sans usure, …. Parmi les mécanismes de défaillances identifiés et qui nous concernent, notons : le collage, la fatigue de nature purement mécanique ou thermomécanique, et l’usure.

Le collage
Au delà du collage apparaissant lors de la fabrication du microsystème, on trouve aussi un collage issu du fonctionnement propre du système lui-même. Ce mécanisme de défaillance est très répandu pour les microsystèmes utilisant un actionnement électrostatique comme les miroirs, les peignes inter-digités et bien spécifiquement pour les commutateurs RF capacitifs qui présentent des charges dans la couche diélectrique isolant la ligne coplanaire de transmission de la membrane mobile [41]. L’origine en est la charge progressive des diélectriques qui environnent l’électrode mobile.

Le rapport de stage ou le pfe est un document d’analyse, de synthèse et d’évaluation de votre apprentissage, c’est pour cela rapport-gratuit.com propose le téléchargement des modèles complet de projet de fin d’étude, rapport de stage, mémoire, pfe, thèse, pour connaître la méthodologie à avoir et savoir comment construire les parties d’un projet de fin d’étude.

Table des matières

Introduction générale
Problématique
Chapitre 1. Fiabilité des MEMS : Etat de l’art
1.1 La sûreté de fonctionnement des systèmes
1.1.1 La Fiabilité
1.1.2 La disponibilité
1.1.3 La maintenabilité
1.1.4 La sécurité
1.1.5 La défaillance
1.2 Les caractéristiques ou indicateurs de la fiabilité
1.3 Les mécanismes de défaillances des microsystèmes
1.3.1 Mécanismes de défaillances liés aux défauts de fabrication
1.3.1.1 Mécanismes liés à la photo-lithographie
1.3.1.2 Mécanismes liés aux dépôts
1.3.1.3 Défauts liés au collage des pièces mobiles
1.3.1.4 Les effets de contraintes résiduelles
1.3.1.5 Les effets liés aux conditions d’environnement du dispositif
1.3.2 Les mécanismes de défaillances liés aux conditions d’usage
1.3.2.1 Le collage
1.3.2.2 La friction
1.3.2.3 La fatigue mécanique et thermomécanique
1.3.2.4 La fracture
1.3.2.5 L’usure
1.4 Classification des mécanismes de défaillances
1.5 Les outils de modélisation fonctionnelle des microsystèmes
1.5.1 La modélisation au service de la conception
1.5.2 La modélisation physique par éléments finis
1.5.3 Les modélisations électriques et comportementales
1.6 La modélisation au service de l’évaluation de la fiabilité des systèmes
1.7 Conclusion
1.8 Références
Chapitre 2. Actionneurs Electrothermiques
2.1 Présentation d’un actionneur en chevron
2.2 Modélisation fonctionnelle : dimensionnement de l’actionneur
2.2.1 Résultats de la modélisation aux éléments finis sous COMSOL®
2.2.1.1 Analyse statique
2.2.1.1.1 Modélisation électrothermique
2.2.1.1.2 Modélisation thermomécanique
2.2.1.2 Analyse dynamique
2.2.1.3 Analyse paramétrique
2.2.2 La modélisation analytique sous MATLAB®
2.2.2.1 La modélisation électrothermique
2.2.2.2 La modélisation thermomécanique
2.3 Démarche de conception pour des objectifs de performances et de contraintes de fiabilité
2.4 Etude de la sensibilité aux paramètres géométriques et technologiques du modèle
2.4.1 La variation des paramètres d’entrée du modèle
2.4.2 Influence de la longueur et la largeur du bras chaud
2.4.3 Influence de l’épaisseur et largeur du bras de jonction
2.4.4 Influence de l’angle d’inclinaison et de l’épaisseur de la couche sacrificielle
2.4.5 Influence de la résistivité électrique et de la conductivité thermique
2.4.6 Influence du coefficient d’expansion thermique et du module de Young
2.4.7 Classement des paramètres en fonction de la sensibilité du modèle
2.5 Développement des véhicules de test Memscap 2008
2.6 Réalisation technologique
2.6.1 Technologie MUMPs du micro-usinage de MEMS
2.6.2 Procédé Technologique PolyMUMPS de Memscap
2.7 La caractérisation
2.7.1 Logiciel de traitement des images prises pendant les tests
2.7.2 Calcul du déplacement du chevron
2.7.2.1 Extraction du bras de jonction de l’actionneur
2.7.2.2 Traçage du profil de l’intensité lumineuse
2.7.2.3 Tracé du déplacement en fonction du courant
2.8 Validation simulation / expérience
2.8.1 Vérification des dimensions de l’actionneur
2.8.2 Vérification de la résistivité électrique
2.9 Etude de la fiabilité des actionneurs électrothermiques en chevron
2.9.1 Défauts d’origine thermique et amorce de flambement
2.9.2 Le collage
2.9.3 Diminution de l’amplitude du déplacement (Vieillissement)
2.10 Conclusion
2.11 Références
Chapitre 3. Micro-Commutateurs RF
3.1 Les micro-commutateurs RF (switch RF)
3.2 Classification des micro-commutateurs RF
3.3 Modélisation statique du commutateur RF capacitif
3.3.1 Détermination des tensions caractéristiques Vpi po et V
3.4 Modèle fonctionnel
3.5 Etude de la sensibilité des performances aux variations des paramètres
3.5.1 Influence de constante de raideur de la membrane
3.5.2 Influence de la permittivité du diélectrique εr
3.6 Caractérisations expérimentales
3.6.1 Banc de tests de fiabilité
3.6.2 Caractérisation des effets de dérives
3.7 Les défaillances des MEMS RF capacitif
3.7.1 Les défaillances liées à la puissance RF
3.7.2 Les défaillances liées à la fatigue mécanique
3.7.3 Les défaillances liées au chargement du diélectrique
3.8 Rappel de l’approche utilisée pour prédire la fiabilité des commutateurs RF
3.9 Proposition d’un modèle physique de défaillance des commutateurs RF lié aux effets des charges
3.9.1 Hypothèse de travail
3.9.2 Mise en équations de l’effet de polarisation du diélectrique
3.10 Transformation des modèles physiques en langage VHDL-AMS
3.11 Exemple d’utilisation du modèle de dérive
3.12 Conclusion
3.13 Références
Conclusion générale

Lire le rapport complet

Télécharger aussi :

Laisser un commentaire

Votre adresse e-mail ne sera pas publiée. Les champs obligatoires sont indiqués avec *