Architecture et Conception de Rétines Silicium CMOS

Phototransduction

   Le phénomène de transduction est possible grâce à l’effet photoélectrique naturel du silicium. La figure I.4 montre que la réponse spectrale d’une photodiode silicium correspond aux spectres autour du visible, ce qui rend possible la réalisation de capteurs d’images à base de silicium. Ce dernier est en effet sensible à une grande plage de longueur d’onde, couvrant le domaine visible (λ= 390 à 760 nm) et le proche infrarouge (λ = 760 nm à 1,1 µm). Notons que la réponse spectrale est directement proportionnelle à l’efficacité quantique pour une longueur d’onde donnée [Joh-92]. L’efficacité quantique est le rapport entre le nombre d’électrons collectés et le nombre de photons incidents. Elle est liée à la structure du photorécepteur (profondeur de la jonction). Une photodiode idéale a une efficacité quantique qui vaut 1, représentée par une droite de coefficient directeur unitaire dans la figure I.4. Le silicium pur est un semiconducteur intrinsèque (composé d’un seul type d’atomes). L’énergie suffisante pour arracher un électron périphérique à son atome est de 1,12 eV. Pour augmenter la conductivité du matériau, on dope le semiconducteur, qui devient alors extrinsèque, puisque composé d’autres atomes (bore, gallium, phosphore, arsenic …). Ces atomes, appelés impuretés ou dopants, composent le dopage, qui rend le matériau (extrinsèque) plus conducteur. Selon le dopant utilisé, le rapport entre les populations N et P est changé. Par exemple, un atome de bore (3 électrons sur la couche périphérique) – remplaçant un atome de silicium (4 électrons sur la couche périphérique) – entraîne la présence d’un trou qui attire les électrons. Le bore est appelé accepteur d’électrons. Le dopage ainsi effectué crée une zone de type P. De la même manière, un atome de phosphore (5 électrons sur la couche périphérique) joue le rôle de donneur d’électrons pour créer une zone de type N. Ces électrons et ces trous sont libres (ils peuvent se déplacer). En mettant ces deux types (N et P) en contact, les électrons et les trous peuvent interagir. Des charges se recombinent, créant ainsi une zone de dépletion (ou zone de  charge d’espace). On crée ainsi une jonction PN, caractérisée par une barrière électrostatique entre les zones P et N. Comme le montre la figure I.5, l’effet de phototransduction se traduit par l’interaction des photons de la lumière et du semiconducteur extrinsèque. Ces photons apportent une énergie permettant de libérer des électrons périphériques.

Topologie des capteurs CMOS

   Les capteurs d’images CMOS, ou imageurs CMOS, ont une architecture générale présentée figure I.9. Le principe général est le même que pour tout capteur d’image : l’information optique est convertie en information électrique, qui est acheminée vers l’extérieur du composant. La structure de tels circuits est par contre spécifique, car la cohabitation des photorécepteurs et de transistors performants au sein d’un même système permet une intégration globale. Un séquenceur, via un décodeur de ligne, commande une ligne parmi les M lignes de la matrice, permettant la lecture de celle-ci. Les données de la matrice sont donc accessibles aléatoirement, par adressage [Mey-98b]. Des amplificateurs de colonne et un système de multiplexage améliorent la dynamique et aiguillent les données vers la sortie. Différents types de capteurs CMOS existent (photodiode, photogrille, phototransistor), mais la photodiode est le photorécepteur présentant le meilleur compromis [Cav-98][Goy-92]. En effet, les photogrilles et phototransistors sont composés d’une couche de polysilicium, qui effectue un filtrage et opacifie la surface photosensible. Nous nous intéressons donc aux capteurs CMOS actifs à base de photodiode, les plus courants [Ogi-97].

Mode logarithmique

   Un capteur fonctionnant en mode logarithmique est intéressant car, par la nature de sa réponse, il réalise des mesures dans une plage d’éclairement importante. Dans sa thèse sur la caractérisation d’une cellule neuronale, P. Perron donne la dynamique des conditions lumineuses naturelles variant de 10-1 lux à 105 lux [Per-94]. L’œil humain est ainsi sensible à une dynamique d’environ 105 lux, soit 100 dB. Le mode logarithmique présente cependant des inconvénients. Du fait de son comportement logarithmique, les informations en sortie ont une dynamique très petite dans la plupart des scènes observées, ce qui cause des problèmes de quantification et de rapport signal sur bruit. En effet, ce comportement est utile dans les scènes très fortement contrastées, mais le signal a une dynamique faible dans les scènes à contraste moyen. Au niveau du pixel, le transistor de Reset de la structure à intégration du photocourant est connecté pour fonctionner comme une diode. Les deux autres transistors ont les mêmes rôles (un suiveur et un sélecteur). Le principal défaut du mode logarithmique provient du transistor fonctionnant en diode. Comme le transistor est en régime d’inversion faible (mode photopile), ses variations de la tension de seuil (dues au procédé de fabrication) causent un grand bruit spatial, qu’il convient de corriger. Généralement, ces compensations se font a posteriori, en dehors du capteur. Cependant, [Ni-01] propose une structure de compensation du bruit spatial dans le circuit.

Le bruit spatial fixe (BSF ou FPN)

   Le bruit spatial fixe (BSF ou FPN : Fixed Pattern Noise), provient des dispersions du processus rencontrées lors de la fabrication des composants. Des éléments identiques, comme les pixels d’un capteur, ont finalement des caractéristiques électriques sensiblement différentes. Ce sont en fait les non uniformités durant les étapes de fabrication qui sont à l’origine du bruit spatial. La valeur du bruit n’est pas prévisible, elle peut être atténuée par des méthodes empiriques lors du choix des dimensions des transistors. Ce bruit est surtout présent dans les transistors suiveurs des pixels et particulièrement dans les amplificateurs de colonne, ces éléments sont donc des points critiques auxquels il convient de prêter attention lors de la conception. L’équipe de G. Meynants a déterminé l’amplitude de ce bruit dans une structure d’APS à intégration du photocourant [Mey-01]. Il représente une variation de 0,2 % sur toute la matrice. En considérant une dynamique de 3v, il représente un écart type de 6mV crête à crête. Comme le BSF est fixe par rapport au temps, des structures de réduction du bruit existent. Elles ont pour principe d’effectuer une lecture différentielle pour s’affranchir de l’offset qui cause l’erreur. Les techniques de réduction du BSF sont exposées plus loin.

Adaptation aux conditions lumineuses

   Les rétines adaptatives ont comme particularité d’adapter la réponse aux conditions lumineuses. Cette adaptation peut se faire de deux manières : en faisant varier le temps d’exposition (adaptation temporelle), ou en ajustant la valeur des pixels (traitement spatial). Nous ne considérons pas ici les travaux afférents aux imageurs APS dont le temps d’exposition est automatiquement réglé en fonction de l’illumination de la scène, par exemple [Gou-95], [Ham-01]. [Loo-01] propose aussi un capteur logarithmique dont la compensation analogique du signal est configurable. Les rétines adaptatives ont une conception différente, qui est inspirée de la biologie, et effectuent un traitement spatial (inter-pixels). Les recherches en neurologie ont permis de modéliser le fonctionnement de la rétine biologique. Les rétines se basent sur ces modèles, introduisant une interaction forte des pixels, permettant une réponse adaptée à une illumination moyenne. La première réalisation de ce type [Mea-89] repose sur l’utilisation de réseaux effectuant un lissage à travers un maillage résistif inter-pixels.

Le rapport de stage ou le pfe est un document d’analyse, de synthèse et d’évaluation de votre apprentissage, c’est pour cela rapport-gratuit.com propose le téléchargement des modèles complet de projet de fin d’étude, rapport de stage, mémoire, pfe, thèse, pour connaître la méthodologie à avoir et savoir comment construire les parties d’un projet de fin d’étude.

Table des matières

INTRODUCTION GENERALE
Chapitre I : LES CAPTEURS D’IMAGES 
I. Principes de base
I-1 Rappels d’optique
I-2 Phototransduction
II. Critères de performance d’un capteur d’image
III. Capteurs CCD
IV. Capteurs CMOS
IV-1 Topologie des capteurs CMOS
IV-2 Photorécepteurs à base de photodiode
IV-3 Capteurs CMOS actif (APS)
IV-3-1 Mode d’intégration du photocourant
IV-3-2 Mode courant
IV-3-3 Mode logarithmique
V. Défauts dans les capteurs CMOS actifs à intégration du photocourant et leur correction 
V-1 Défauts dans les capteurs CMOS actifs
V-1-1 Le courant de noir
V-1-2 Le bruit spatial fixe (BSF ou FPN)
V-1-3 Le bruit temporel
V-2 Double échantillonnage corrélé (CDS)
V-3 Double échantillonnage non corrélé (NCDS, ou DS)
VI. Perturbations lumineuses 
VII. Rétines CMOS36
VII-1 Différents types de rétines
VII-1-1 Les rétines à traitement spatial
VII-1-2 Les rétines à traitement spatio-temporel
VII-2 Rétines dédiées à l’estimation de mouvement
VII-2-1 Rétines basées sur les filtres spatio-temporels
VII-2-2 Rétines basées sur le contraste
VII-2-3 Rétines basées sur des structures de calculs numériques
Chapitre II : ETUDE ET CONCEPTION DE CAPTEURS ET DE RETINES
I. Rétine d’intercorrélation
I-1 Présentation
I-2 Fonctionnement du pixel
I-3 Principe
I-4 Résultats
II. Imageur CMOS
II-1 Contexte
II-2 Structure et fonctionnement
II-3 Choix du montage de caractérisation
II-4 Résultats et mesures
II-4-1 Lumière ambiante
II-4-2 Mesure du courant d’obscurité ou courant de noir
II-4-3 Capture d’images
II-4-4 Mesures des disparités du capteur
III. Conclusion
Chapitre III : L’ESTIMATION DE MOUVEMENT 
I. Principe
II. Equation du flot optique
III. Méthodes pour estimer un mouvement
III-1 Méthodes différentielles
III-2 Méthodes fréquentielles
III-3 Méthodes de mise en correspondance
IV. Présentation de la méthode retenue (LTS: « Local Transform and Search »)
IV-1 Présentation
IV-2 Algorithme
IV-2-1 Census Transform
IV-2-2 Recherche des codes de la transformée
IV-2-3 Complexité algorithmique
IV-3 Caractéristiques de l’algorithme LTS
V. Conclusion
Chapitre IV : VALIDATION DE L’ALGORITHME LTS 
I. Evaluation de méthodes d’estimation du mouvement
I-1 Programmation de la SAD
I-2 Programmation de la méthode retenue
I-3 Comparaison entre les algorithmes SAD et LTS de manière logicielle
I-4 Modification de l’algorithme LTS en vue de son implantation matérielle
II. Implantation matérielle de la méthode retenue
II-1 Implantation dans un FPGA
II-2 Implantation dans un ASIC – capteur et traitement
Chapitre V : RETINE D’ESTIMATION DU MOUVEMENT
I. Architecture globale du circuit
II. Matrice de pixels : photoréception et codage
II-1 Comparateur en tension
II-1-1 Structure du comparateur
II-1-2 Fonctionnement
II-1-3 Simulations
II-2 Comparateur en temps
II-2-1 Structure du comparateur
II-2-2 Fonctionnement
II-2-3 Simulations
III. Recherche de codes
IV. Implantation dans un ASIC et caractérisation 
IV-1 Dessin des blocs comparateurs
IV-2 Dessin des blocs de recherche des codes
V. Mesures
V-1 Mise en œuvre
IV-1-1 Présentation
IV-1-2 Problèmes rencontrés
V-2 Transformées des images
V-2-1 Acquisition de codes
V-2-2 Caractéristiques des codes
V-2-3 Mesure de la phototension
V-3 Interprétation des résultats
V-4 Comparaison des comparateurs par la simulation
V-5 Conclusion sur les matrices de codage
CONCLUSION GENERALE ET PERSPECTIVES
REFERENCES BIBLIOGRAPHIQUES
ANNEXES .

Rapport PFE, mémoire et thèse PDFTélécharger le rapport complet

Télécharger aussi :

Laisser un commentaire

Votre adresse e-mail ne sera pas publiée. Les champs obligatoires sont indiqués avec *